verilog-in problem in ic5141 about ">>>" operator

Discussion in 'Cadence' started by ponderboy, Aug 9, 2007.

  1. ponderboy

    ponderboy Guest

    in ic5141isr,the verilog code have ">>>" operator,when do verilogin
    operation it says that s syntax erroe of ">>>",how to fix it?
     
    ponderboy, Aug 9, 2007
    #1
  2. If you use a more recent IC5141 version (I've no idea what you're using), but it
    certainly should be OK from IC5141 USR4 onwards, it will use ncvlog as the
    analyzer rather than VAN. VAN has a fairly outdated view of verilog.

    There is a means of switching it back to VAN, but in general using ncvlog will
    be more successful.

    Andrew.
     
    Andrew Beckett, Sep 16, 2007
    #2
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.