spectres simulation with netlist file

Discussion in 'Cadence' started by neo, Oct 31, 2005.

  1. neo

    neo Guest

    My RCX extraction in Assura gives either extraced spectre netlist file
    or spice netlist file. Can any body tell how to simulate the netlist
    file in cadence?
    thanks
     
    neo, Oct 31, 2005
    #1
  2. Why not create an extracted view with RCX - and then you can simulate it
    directly in ADE without having to do any messing about - simply ensure that it
    switches in the av_extracted view you create with Assura RCX.

    That's much easier than creating a netlist, and then having to treat this as an
    external netlist. The Assura RCX stuff is well, integrated, so it seems a shame
    not to take advantage of this!

    Regards,

    Andrew.
     
    Andrew Beckett, Nov 1, 2005
    #2
  3. neo

    neo Guest

    I RCX extraction with spice or spectre netlist works well but for
    extracted view it gives lot errors like this. I dont know the reason,
    probably extracted view support is not provided by my libraries.
    These are errors:
    run on lab33 from
    /opt/cadence/assura3.1/tools.sun4v/assura/bin/rcxToDfII.exe on Tue Nov
    1 10:04:17 2005

    *ERROR* No library model for device "probe".
    *ERROR* No library model for device "thru auLvs AMI_Lib".
    *WARNING* dbOpenCellViewByType: Failed to open cellView (thru auLvs)
    from lib (AMI_Lib) in 'r' mode because cellview does not exist.
    *ERROR* No library model for device "v40".
    *ERROR* No library model for device "v40".
    *ERROR* No library model for device "ext".
    *ERROR* No library model for device "ext".
    *ERROR* No library model for device "ext".
    *ERROR* No library model for device "pres-3 auLvs ami500hxtx".
    *WARNING* dbOpenCellViewByType: Failed to open cellView (pres-3 auLvs)
    from lib (ami500hxtx) in 'r' mode because cellview does not exist.
    (ami500hxtx) in 'r' mode because cellview does not exist.
    *ERROR* No library model for device "res auLvs ami500hxtx".
    *ERROR* No library model for device "pzthn auLvs ami500hxtx".
    *WARNING* dbOpenCellViewByType: Failed to open cellView (pzthn auLvs)
    from lib (ami500hxtx) in 'r' mode because cellview does not exist.
    *ERROR* No library model for device "pz auLvs ami500hxtx".
    *WARNING* dbOpenCellViewByType: Failed to open cellView (pz auLvs) from
    lib (ami500hxtx) in 'r' mode because cellview does not exist.
    *ERROR* No library model for device "px auLvs ami500hxtx".
    *WARNING* dbOpenCellViewByType: Failed to open cellView (pu auLvs) from
    lib (ami500hxtx) in 'r' mode because cellview does not exist.
    *ERROR* No library model for device "nz auLvs ami500hxtx".
    *WARNING* dbOpenCellViewByType: Failed to open cellView (nz auLvs) from
    lib

    *WARNING* /opt/cadence/assura3.1/tools/assura/bin/rcxToDfII exit with
    bad status
    *WARNING* Status 256
    *WARNING* Assura execution terminated

    I would appreciate if somebody could suggest about solving these errors
    or somebody could tell me how to run netlist in cadence.


    I am using amis c5f process.
    Thank u
     
    neo, Nov 1, 2005
    #3
  4. neo

    S. Badel Guest

    neo,

    When doing an extracted view assura expects to find components matching the
    device in the extraction decks within the libraries defined in the cds.lib.

    Make sure that these components exist, if not you might be missing some lib.

    Also, I noticed that libraries defined with the environment variable $CDS_INST_DIR
    as part of their path are sometimes not found by assura (notably analogLib) because
    assura defines this variable with its own path. In this case hard-coding the path
    in the cds.lib does the trick.

    I hope I made myself clear enough i wrote this post in a hurry..

    Stéphane
     
    S. Badel, Nov 8, 2005
    #4
  5. To add to what Stephane says, this behaviour of $CDS_INST_DIR is because
    CDS_INST_DIR is a special variable in cds.lib files meaning the root directory
    of the installation containing the command that is evaluating the cds.lib file.
    So if that's icfb (say), it's the root of the IC tree. If it's assura, it's the
    root of the Assura tree. If it's ncsim, it's the root of the IUS tree, etc.

    Whilst this looks like an environment variable, it is treated as a special case.
    There are also some other magic incantations that can be used to evaluate
    special cases - like the hierarchy containing a particular path - see the
    Cadence Application Infrastructure guide for more details:

    <ICinstDir>/doc/caiuser/caiuser.pdf

    Most people end up using an environment variable such as $CDSHOME or
    $ICHOME to reference libraries in their Cadence hierarchy.

    That said, I'd have though it unlikely you were trying to reference components
    in your Assura rules which are in libraries in the Cadence hierarchy, so that
    may not be the root cause. You may just have a library missing!

    Andrew.
     
    Andrew Beckett, Nov 9, 2005
    #5
  6. neo

    S. Badel Guest

    yes, the component names appearing in the post do not seem to be any
    cadende provided component, indeed. However this error happens
    systematically to us when running RCX because of the presistor etc.
    components from analogLib (unless the kit includes models for parasitics).

    Stéphane
     
    S. Badel, Nov 9, 2005
    #6
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.