Problems with ncsim and ams simulation

Discussion in 'Cadence' started by Andreas, Feb 17, 2006.

  1. Andreas

    Andreas Guest

    Hi,
    I've got a problem, when I want to start a mixed signal simulation using
    ncsim. At first the simulation worked well, but now I getting this error
    message:
    [...]´
    ncsim: *internal* (eu_set_sensitivity: analog simulator gave us a bad
    analog ID).
    Observed simulation time : 0 FS + 0
    [...]
    The problem is, that I haven't changed anything in my design and it
    doesn't work anymore. I tried a lot of things, but it's still not working.

    Any ideas...?

    Thanks,

    Andreas
     
    Andreas, Feb 17, 2006
    #1
  2. Andreas

    Prasanna Guest

    Hi Andreas,
    I am guessing you have an analog quantity (either a probe or a
    variable) being accessed from within digital portion of your design,
    which ncsim isn't able to handle yet. Are you using Verilog-AMS ?

    Is it possible for you to post (at least the portion of ) your design ?
    Cheers,
    Prasanna
     
    Prasanna, Feb 20, 2006
    #2
  3. Andreas

    Andreas Guest

    Yes, I'm using allmost everything (verilog, verilog-a, verilog-ams and
    spectre) in my design.
    I'm sorry, I cannot, the project is quite big and I don't know, which
    part causes that problem. The simulation worked once and now the
    simulator doesn't start anymore, although I'm sure, that I haven't
    changed anything in my design.

    Thanks for your help,

    Andreas
     
    Andreas, Feb 20, 2006
    #3
  4. I found a few references to this error message - but all were fixed several
    releases ago. What version of ncsim are you using? (type "ncsim -version").

    Regards,

    Andrew.
     
    Andrew Beckett, Feb 21, 2006
    #4
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.