NCSIM

Discussion in 'Cadence' started by praveen.kantharajapura, May 18, 2005.

  1. Hi ,

    I am using NCSIM simulator to simulate my VHDL code, what i wanted to
    know is how to generate a Value Change dump(VCD) file using NCSIM, to
    load the wave forms offline in simvision.For ex in verilog we have
    "$dumpvars" , how to do it for VHDL.


    Thanks in advance ,
    Praveen
     
    praveen.kantharajapura, May 18, 2005
    #1
  2. You'd use tcl commands to do this. For example, if this was my VHDL
    code:

    entity counter is
    port(count: out natural);
    end entity counter;

    architecture behavior of counter is
    begin
    incrementer : process is
    variable count_value: natural:=0;
    begin
    count<=count_value;
    loop
    wait for 10 ns;
    count_value:=(count_value+1) mod 16;
    count<=count_value;
    end loop;
    end process incrementer;
    end architecture behavior;

    I'd then do:

    ncvhdl -v93 counter.vhd
    ncelab -access +rwc counter

    and then I'd create a tcl script:

    database -vcd mydump.vcd
    probe -create :incrementer:count_value
    run 200ns
    exit

    and then do:

    ncsim -input counter.tcl counter

    If you do:

    ncsim -tcl counter

    You can enter these commands interactively, or do
    things like "help database" to get more detail (if you don't feel like reading
    the manual...)

    Andrew.
     
    Andrew Beckett, May 23, 2005
    #2
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.