I output in simvision

Discussion in 'Cadence' started by Vineet, Apr 19, 2004.

  1. Vineet

    Vineet Guest

    When I simulate a design in verilog-ams, using Simvision, I am able to
    see the "potential - V" value for the signals( that belong to
    "electrical" discipline). Is there a way by which I can see the "flow
    - I"( current) value for the signal ?

    regards,
    Vineet.
     
    Vineet, Apr 19, 2004
    #1
  2. You need to use the -flow option to the probe command. Something like:

    probe -create -shm -flow -ports top.I0

    This is covered in the AMS Simulator User Guide KP&S (I think that's where it
    is).

    In essence you need to tell the simulator to probe currents - it does this
    efficiently, because it already knows the currents because of the fact that
    the simulator is preserving Kirchoff's current law.

    Regards,

    Andrew.
     
    Andrew Beckett, Apr 20, 2004
    #2
  3. Vineet

    Vineet Guest

    Thanks Andrew.
    Is -flow an option available from recent releases ? Coz I am not able
    to see this option when I do a probe -help in AMS simulator. And when
    I run the command anyways, I get "*E,UNKOPT: unrecognized option for
    the probe [-create] command (-flow)."

    I have the 4.0 version of the tool.

    regards,
    Vineet.
     
    Vineet, Apr 21, 2004
    #3
  4. Hi Vineet,

    Yes, it is a relatively recent addition - I think it may have been in
    LDV50, I don't remember (it might have been a hotfix of LDV40?)

    Before that the alternative is to put save statements into your analog control
    file to save the currents you want (in spectre syntax).

    But a better solution would be to move to using LDV51, I'd say, if you
    can.

    Regards,

    Andrew.

     
    Andrew Beckett, Apr 21, 2004
    #4
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.