Error in parsing Verilog file

Discussion in 'Cadence' started by Konx, Oct 13, 2009.

  1. Konx

    Konx Guest

    Hi!

    I'm here again with my weird errors :p

    I have a testbench written in Verilog. Usually, when you save you
    should have in the same Cell View a Symbol (automatically generated).

    In my case, I'm not bale to obtain this because I receive this error:

    ncvlog: *F,DLIORG: Library (physical path) /user/fzappon/testIC6/
    Francesco was originally compiled with logical name 'Sinan'. Please
    include the original definition of library in cds.lib file.

    and, obviously, I have no Symbol view.

    What I've tried to do is: go to Virtuoso main window, select IBM_PDK --
    is defined, so I can't see where the problem could be. Moreover, I
    have the library in my library manager.

    Do you have any idea of what this problem could be?

    Thanks, as usual, in advance

    Francesco.
     
    Konx, Oct 13, 2009
    #1
  2. Konx

    rick Guest

    Its been a while since Ive seen this error but pretty sure there is a
    library hook in your verilog, try searching for Sinan or library (not
    sure
    of the case) then comment it out.
     
    rick, Oct 13, 2009
    #2
  3. Konx wrote, on 10/13/09 16:08:
    Francesco,

    In your library directory, there will be an outdated "pak" file. Something like

    inca.lnx86.165.pak

    (there may be more than one).

    Please delete the pak files.

    If a library is renamed, the pak file remains, but contains information about
    the old library name. As a result, when ncvlog tries to compile the verilog code
    (needed to analyse it to identify pin names and so on), it can't because there's
    a mismatch between the logical name (Sinan, which is what the library was
    previously called, presumably) and the current name (Francesco?).

    Regards,

    Andrew.
     
    Andrew Beckett, Oct 14, 2009
    #3
  4. Konx

    Konx Guest

    [cut]

    Thank you! Problem solved :)

    One more (stupid) question: what if this NG dosen't exist, and I have
    this problem? I mean, there is a place where I could find a list of
    (common or not) errors?

    Anyway, thanks again!

    Francesco.
     
    Konx, Oct 14, 2009
    #4
  5. Konx

    Riad KACED Guest

    Ciao Francesco,

    You are question is all but stupid, do not have a low opinion of
    yourself :)
    The news group can also tell how to get yourself sorted out without
    the news group.
    To answer your question, I'd rather suggest looking at anderstanding
    your actual error, not knowing all the possible ones.
    When you hit an error like:
    ncvlog: *F,DLIORG:
    Then you should be able from your Unix terminal to type-in the
    following:
    UNIX> nchelp ncvlog DLIORG
    This would return:

    nchelp: 08.20-s016: (c) Copyright 1995-2009 Cadence Design Systems,
    Inc.
    ncvlog/DLIORG =
    Library is originally compiled with different logical name. It
    is mandatory that
    user includes the original compiled logical name with physical
    path in his
    cds.lib file.
    Examples:
    DEFINE original_logical_name ./physical_path

    Besides, if you were to run the AMS Designer from the ADE, then you
    are able to invoke the 'error explanation' GUI from ADE->Simulation-
    When the GUI pops up, just type-in DLIORG in the 'error message' field
    (or select from the drop-down list) and hit the explain butto. This
    would bring the exact nchelp message as above and for some errors, it
    come with some details.

    If you were to encounter an error like ncelab: *E,CUCFUN, then type-in
    UNIX> nchelp ncelab CUCFUN

    Hope this help,
    Regards,
    Riad.
     
    Riad KACED, Oct 15, 2009
    #5
  6. Konx wrote, on 10/14/09 08:54:
    In addition to what Riad said, there should be a way for you to get support from
    Cadence. If you're a Cadence customer, you can contact customer support (see the
    Support pulldown on www.cadence.com or go to sourcelink.cadence.com ). If you're
    via a university program (such as EuroPractice) then that program will have a
    support route (if they can't handle it themselves, they will contact Cadence).

    Regards,

    Andrew.
     
    Andrew Beckett, Oct 16, 2009
    #6
  7. Konx

    Konx Guest


    Thank you to both of you, Riad and Andrew! As usual, really helpful
    hints :)

    Francesco.
     
    Konx, Oct 16, 2009
    #7
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.