Equivalent SKILL command to parse verilog views

Discussion in 'Cadence' started by Bernd Fischer, Sep 14, 2005.

  1. Hi there,

    I'm wondering if there is a equivalent SKILL command to parse verilog views
    in DFII,
    like open, edit, save and close a functional view for example.

    CDS.log output:
    Analyzing Verilog source file "../myLib/myCell/functional/verilog.v"
    Done
    Verilog HDL file successfully parsed.
    Running cross view checker.


    Bernd
     
    Bernd Fischer, Sep 14, 2005
    #1
  2. Bernd Fischer

    tattvamasi Guest

    schVIC(
    d_cvId
    )
    => l_result

    Runs the cross-view checker to check the consistency of the interface
    of one or more views against the view of the given cellview.

    Or probably this?

    schInstallHDL

    schInstallHDL(
    g_library
    t_cellName
    t_viewName
    t_srcName [ g_createSymbol ]
    )
    => t/nil
     
    tattvamasi, Sep 14, 2005
    #2
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.