equivalent of -G for vsim in ncsim or ncverilog

Discussion in 'Cadence' started by kk, Apr 28, 2005.

  1. kk

    kk Guest

    Hi all,


    I've genric parameter control from command line while simulating in
    modelsim.


    vsim -GBUSWIDTH=64


    Is there any such command line option for ncsim.


    Thanks in adv
     
    kk, Apr 28, 2005
    #1
  2. kk

    mk Guest

    +define+BUSWIDTH=64 ?
     
    mk, Apr 29, 2005
    #2
  3. During elaboration of the design you have to add the "-generic" parameter
    In my case the commands look like this for setting generics of VHDL code:

    ncelab -cdslib ./cds_nc/cds.lib -hdlvar ./cds_nc/hdl.var -work
    worklib -message -nostdout -logfile ./cds_nc/ncelab.log -access
    +wc -generic width=\>6 worklib.mult_multiplier:structure
    ncsim -cdslib ./cds_nc/cds.lib -hdlvar ./cds_nc/hdl.var -logfile
    ../cds_nc/ncsim.log -gui -nokey -input
    /vlsi_soft/etc/cds_nc/ncsim_vhdl.tcl -input ./STIM/mult_multiplier.tcl
    worklib.mult_multiplier:structure


    I hope this helps.

    Kind regards,
    Johannes Wolkerstorfer
     
    Johannes Wolkerstorfer, Apr 29, 2005
    #3
  4. kk

    kk Guest

    thanks for the reply

    but +defin... is for conditional compilation and macro substitution..
    it is not for parameter setting...
     
    kk, Apr 29, 2005
    #4
  5. kk

    kk Guest

    thanks for the reply

    generic option is for vhdl..
    anyway i tried using that for verilog too...but it din't work..

    - kk
     
    kk, Apr 29, 2005
    #5
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.