does anybody know how to use Nanosim with EDIF files?

Discussion in 'Cadence' started by Nirmal, Oct 15, 2003.

  1. Nirmal

    Nirmal Guest

    i have my netlist in EDIF format. how do i run nanosim?
     
    Nirmal, Oct 15, 2003
    #1
  2. Nirmal

    B Guest

    nanosim you_edif_file.edif

    (note that the suffix edif is required if you don't use the -n option)

    HTH
     
    B, Oct 28, 2003
    #2
  3. Nirmal

    Muthu Guest

    Hi Guys,

    what is this Nanosim? Just for knowing things.

    I am experienced in Xilinx FPGA alone.

    Regard,
    Muthu
     
    Muthu, Nov 11, 2003
    #3
  4. Nirmal

    Erik Wanta Guest

    Muthu:
    nanosim is a fast spice simulator from Synopsys. 2 other fast spice
    simulators are hsim from Nassda and ultrasim from Cadence.
     
    Erik Wanta, Nov 12, 2003
    #4
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.