cosimulation

Discussion in 'Cadence' started by ram, Jul 3, 2007.

  1. ram

    ram Guest

    I am prototyping a IP core which was written in verilog languge in
    cyclone II FPGA.My application engineer wrote code in C for
    application level.Can i simulate the both in cadence simulation
    environment so that i can find the bug in real environment .Can anyone
    suggest on this.I am in desperate situation.Please help me.
    Thanking you kumar
     
    ram, Jul 3, 2007
    #1
  2. You didn't say which simulator you're trying to use. If it's ncsim (or
    ncverilog), you can link in C code either via SystemC, or using
    VPI (Verilog Programming Interface).

    Regards,

    Andrew.
     
    Andrew Beckett, Jul 5, 2007
    #2
  3. ram

    ram Guest

    I am using ncverilog compiler.How to use systemC.Can u provide
    document or link for that .Please help me.Thanking you
    VSRPKUMAR
     
    ram, Jul 7, 2007
    #3
  4. This will be covered in the documentation for the IUS version you have. Access
    it using "cdsdoc", or "cdnshelp" if you're using IUS61. The PDF files can also
    be found under <IUSinstDir>/doc/ncsc* .

    Andrew.
     
    Andrew Beckett, Jul 17, 2007
    #4
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.