Comparing Verilog simulations using CompareScan?

Discussion in 'Cadence' started by Karl Fritz, Jun 23, 2005.

  1. Karl Fritz

    Karl Fritz Guest

    Hi,
    I am fairly new to Verilog, but because analog simuations take so long,
    Verilog is a quicker way for me to get the functional result of my circuit.

    However, I am now incorporate timing into my models and can now get a rough
    idea of when the circuit fails. The problem is that while it may be obvious
    that the circuit is failing when I get setup and hold time errors, it is not
    obvious when the circuit is running too fast to calculate the right result
    (and not give timing errors).

    What I want to do is run the sim at a low frequency to get the correct
    result. Then I would like to run it faster and faster. Each time, I would
    like to compare the outputs for correctness.

    It appears that the Cadence CompareScan tool would do the job. I am
    currently talking to a service rep about it, but he is currently thinking
    when I would like to do cannot be done with the tool. Maybe I am going
    about this all wrong, and that comparing functional at freq X to functional
    at freq Y is all wrong.. Is the purpose of the CompareScan tool to compare a
    behavioral model (that runs correct at all frequencies) to a functional
    model (extracted from the schematic)?.

    I am interested to hear how other folks in the industry accomplish this
    task.

    thanks

    --
    ------------------------------------------------------------------------
    Karl Fritz
    Design Engineer vox:
    507.284.0062
    _O_SPA_M.edu
    fax: 507.284.9171
    Special Purpose Processor Development Group - Mayo Foundation
    ------------------------------------------------------------------------
     
    Karl Fritz, Jun 23, 2005
    #1
  2. You can do this with WaveFormer Pro + Comparison option
    from SynaptiCad (http://www.syncad.com). There is a function
    that allows you to frequency scale the waveforms from your
    simulation results, so that you can compare the two sets
    of waveforms. The comparison option has a lot of flexibility
    in controlling what differences are displayed, also.

    regards,

    Dan Notestein
    SynaptiCAD
     
    Dan Notestein, Jun 23, 2005
    #2
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.