CDF parameter display problem

Discussion in 'Cadence' started by ajin, Nov 8, 2006.

  1. ajin

    ajin Guest

    Hi

    I read the manual about passing parameters.
    So, I used 6 parameters to "inverter".

    width_pmos
    width_nmos
    length_pmos
    length_nmos
    number of fingers (pmos)
    number of fingers (nmos)

    But I can't display all of them.
    If I display over 4 parameters, they are overlapped. ¤Ð.¤Ð

    I think this is very simple, and everyone uses inverter like this.

    Please, show me the way.
     
    ajin, Nov 8, 2006
    #1
  2. ajin

    ajin Guest

    I found another method for displaying parameters.

    In the symbol view,

    "ilInst~>wp"

    this is good for displaying.

    ==================================================

    But, I have another question.

    I extract netlist from this circuit,
     
    ajin, Nov 9, 2006
    #2
  3. This would normally be done by having cdsParam labels on the symbol. These
    would be "ILLabel" type labels, with values such as:

    cdsParam(1)
    cdsParam(2)

    etc. See the analogLib components as examples.

    What is displayed in those slots is controlled by the CDF label display
    section, and can be overridden by the ADE annotation menus, or the
    Edit->Component Display menu in the schematic tool.

    Regards,

    Andrew.
     
    Andrew Beckett, Nov 14, 2006
    #3
  4. What simulator are you using? The language doesn't give it away too much,
    although I suspect it might be spectre? If so, the syntax would be:

    subckt inv a z
    parameters pw=10u nw=10u // default values
    M1 (z a vdd! vdd!) pch w=pw l=0.25u
    M2 (z a vss! vss!) nch w=nw l=0.25u
    ends inv

    xi0 net0 net1 inv pw=20u nw=20u

    or something like that...

    Regards,

    Andrew.
     
    Andrew Beckett, Nov 14, 2006
    #4
  5. ajin

    ajin Guest

    Thanks for your reply. :)

    I use hspice.

    The netlist that I extract is like this
    _________________________________
    ..subckt inv a z
    M1 (z a vdd! vdd!) pch w=10u l=0.25u
    M2 (z a vss! vss!) nch w=4u l=0.25u
    ..ends inv

    xi0 net0 net1 inv
    -----------------------------------------------------------

    How can I make this?

    ..subckt inv a z pw=10u nw=10u
    M1 (z a vdd! vdd!) pch w=pw l=0.25u
    M2 (z a vss! vss!) nch w=nw l=0.25u
    ..ends inv

    xi0 net0 net1 inv pw=10u nw=4u
     
    ajin, Nov 15, 2006
    #5
  6. ajin

    ajin Guest

    Thanks a lot,
    Andrew.

    I have another question here.

    Can I make a netlist including variables for an hspice simulator?
    ____________________________________
    ..subckt inv A Z pw=10u nw=10u
    M0 Z A VSS VSS NCH W=nw ...
    M1 Z A VDD VDD PCH w=pw ...
    ..ends

    xi0 net0 net1 inv pw=a nw=b <---- This is what I want
    to generate.
    ____________________________________

    Regards,

    Ajin.
     
    ajin, Nov 15, 2006
    #6
  7. ajin

    Poojan Wagh Guest

    Are you using the analog environment? If so, you can set the width of
    the pch device to "pw" (just a string). Then, do a "copy variables from
    cell view" in AE. You can then fill in the variable table in AE and
    netlist.
     
    Poojan Wagh, Nov 15, 2006
    #7
  8. ajin

    ajin Guest

    Thanks, Poojan.

    You're right, I'm using 'analog environment'.
    I can set paramters in AE window, Design Variables.

    After setting the value of variables, I can generate the netlist.
    But, I can't remain the value as variable(string), "pw".
    I would like to generate netlist like this.
    After generating, I can use the syntax with an hspice input file.

    '.param pw=10u'

    Thanks again for your reply. But still I have a headache.
     
    ajin, Nov 15, 2006
    #8
  9. I think this is answered by my response to your later post about hspiceD versus
    hspiceS.

    Regards,

    Andrew.
     
    Andrew Beckett, Nov 17, 2006
    #9
  10. ajin

    ajin Guest

    Thanks a lot!

    Using an hspiceD view, I could generate a new netlist.

    Thank you again, Andrew.
     
    ajin, Nov 21, 2006
    #10
  11. ajin

    kev Guest

    Hi Andrew,

    Where are the cds parameters that are displayed by default stored?
    I wish to change the paramters that are displayed for some mosfets in
    the device lib
    but can't find where they are initialised [i.e. gm, vgs, etc]
    Is this done by cadence? Or the foundry supplying the device lib?

    Kevin
     
    kev, Nov 21, 2006
    #11
  12. Sorry about the slow response on this - I've been rather busy, and so haven't
    been able to respond in this forum (with Christmas holidays as well...)

    Anyway, this information is stored in the Cell CDF (Tools->CDF->Edit
    in the CIW) for the component in question. It lists the parameters and
    operating point parameters (etc) for each device that will be displayed
    by default. You can also use the Edit->Component Display in the schematic
    window to change on the fly what is displayed (this alters the effective CDF).

    Regards,

    Andrew.
     
    Andrew Beckett, Jan 9, 2007
    #12
  13. ajin

    kev Guest

    worked this out in the meantime!
    thanks anyway!

     
    kev, Jan 11, 2007
    #13
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.