Can I co-simulate Hspice with verilogA components

Discussion in 'Cadence' started by Su, Mar 17, 2005.

  1. Su

    Su Guest

    HI,

    In my design, I need to look at output eyediagram which requires a
    random number generator. In cadence I can find such component, but when
    I put it in the schematic using HspiceS simulator, it does not work.

    Could you please give me some idea on how to make this work?


    thanks,

    Yu
     
    Su, Mar 17, 2005
    #1
  2. Firstly I don't believe HSPICE supports Verilog-A (I don't know for certain
    since HSPICE is a Synopsys product, not a Cadence product). Even when HSPICE
    eventually supports Verilog-A, the hspiceS netlister would need to be enhanced
    to write out whatever statements HSPICE would need to include a Verilog-A
    module. Most likely when (or if) that were to happen, it would be done with
    the newer hspiceD interface rather than the obsolete hspiceS interface.

    However, this is a moot point if HSPICE doesn't support Verilog-A yet...

    Can you use spectre instead?

    Andrew
     
    Andrew Beckett, Mar 18, 2005
    #2
  3. Su

    Su Guest

    HI, Andrew:

    Thanks for your reply. Since we have to incorporate a transmission line
    model which only works in HspiceS, we can not use Spectre.
    Do you know any way to generate a random sequence in HspiceS?

    thanks,

    Yu
     
    Su, Mar 18, 2005
    #3
  4. Hi Yu,

    Is the transmission line a "W" element in HSPICE? If so, spectre has the
    "mtline" component which can be used as the W element...

    I don't know how to generate a random sequence in hspice, sorry.

    Andrew.
     
    Andrew Beckett, Mar 18, 2005
    #4
  5. Su

    Su Guest

    HI, Andrew:

    Yes, it is "W" element.

    thanks a lot for your suggestion, I will try mtline.

    Yu
     
    Su, Mar 18, 2005
    #5
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.