AMS Simulator ConnectLib problem

Discussion in 'Cadence' started by Debjit, Aug 3, 2009.

  1. Debjit

    Debjit Guest

    Hi All,

    I am new to Cadence AMS simulators. I have some circuits for which
    the connect modules which are supplied with cadence distribution in
    affirma_ams are not sufficient. I need to customize that rules. I have
    customized it properly. But whenever I try to compile and elaborate
    the design by "netlist and run" cadence complains and says that it is
    unable to find the customized connect rules. But I have kept the
    customized rules in the same connectLib in my project directory...I am
    using spectre as analog solver..please help and please suggest
    suitable solutions..
     
    Debjit, Aug 3, 2009
    #1
  2. Debjit

    Riad KACED Guest

    Hi there,

    What do you mean by 'I have customized it properly' ? If your compile/
    elaboration fails then it means your customization was not as good as
    you thought ...

    there are few steps that you need to follow to create a customized
    work Lib:

    1. Create the verilogAMS files for your connect Modules.
    Say I want to create a 1V connect module with following spec:
    Vsup=1.0, Vthi=0.666, Vtlo=0.333, Vlow=0
    I copy the 1.8V connect module from my IUS stream , i.e
    $IUSHOME/tools/affirma_ams/etc/connect_lib/connectLib/
    ConnRules_18V_full_fast/connect/verilog.vams

    The ConnRules_1V0_full_fast I'm about to create needs the following
    files :

    Bidir_2.vams
    ConnRules_1V0_full_fast.vams
    E2L_2.vams
    E2R.vams
    ER_bidir.vams
    L2E_2.vams
    L2E_2_CPF.vams
    R2E_2.vams

    All these files have been copied form :
    $IUSHOME/tools.lnx86/affirma_ams/etc/connect_lib

    2. Prepare the environment:
    You need to have a correct hdl.var and cds.lib to compile the
    libraries
    - An example of hdl.var is:

    ##########
    softinclude $AMSHOME/inca/files/hdl.var
    define ncuse5x
    define cdslib ./cds.lib
    Define WORK rkLib
    ##########

    - An example of cds.lib is

    ------
    softinclude $AMSHOME/tools/inca/files/cds.lib
    DEFINE analogLib $CDSHOME/tools/dfII/etc/cdslib/artist/analogLib
    DEFINE basic $CDSHOME/tools/dfII/etc/cdslib/basic
    DEFINE sample $CDSHOME/tools/dfII/samples/cdslib/sample
    Define rkLib ./rkLib
    Define myconnectLib ./rk_connect_lib/rkConnectLib
    ------

    3. Compile the above connect modules using the following commands:

    ncvlog -ams -use5x -work myconnectLib ./rk_connect_lib/
    ConnRules_1V0_full_fast.vams
    ncvlog -ams -use5x -work myconnectLib ./rk_connect_lib/L2E_2.vams
    ncvlog -ams -use5x -work myconnectLib ./rk_connect_lib/E2L_2.vams
    ncvlog -ams -use5x -work myconnectLib ./rk_connect_lib/Bidir_2.vams
    ncvlog -ams -use5x -work myconnectLib ./rk_connect_lib/E2R.vams
    ncvlog -ams -use5x -work myconnectLib ./rk_connect_lib/R2E_2.vams
    ncvlog -ams -use5x -work myconnectLib ./rk_connect_lib/ER_bidir.vams
    ncvlog -ams -use5x -work myconnectLib ./rk_connect_lib/L2E_2_CPF.vams


    4. Create a connectRules.il file with the above connect modules using
    the following command:
    genConnRulesFile -destpath ./rk_connect_lib/rkConnectLib -lib
    rkConnectLib ./rk_connect_lib/*.vams

    5. copy over rk_connect_lib into your cadence working dir and update
    your cds.lib by adding:
    DEFINE rkConnectLib ./rk_connect_lib/rkConnectLib

    6. Fire up Cadence and the ADE and load the created connect module.
    they must come in the list of available connect modules.

    This should be working,
    Let me know whether you need further details !

    Cheers,
    Riad.
     
    Riad KACED, Aug 4, 2009
    #2
  3. Debjit

    Debjit Guest

    Hi Riad,

    I am a student of IIT Kharagpur in India and working with National
    Semiconductor UK in John Gough's group. I got your contact from
    Sheikh. I will try this one and will let you know the results.
    Somehow, we failed to load the customized connect rules in Cadence
    Library though we modified it with the the help of GUI from the ADE
    window. Sheikh also tried a lot. I will let you know after trying
    this.
    Thanks for your reply.
    Cheers,
    Debjit.
     
    Debjit, Aug 5, 2009
    #3
  4. Debjit

    Riad KACED Guest

    Hi Debjit,

    Hope you have managed to make this working.
    I have assumed in my previous post that you have created the necessary
    UNIX directories and file to go through the flow.
    Also, make sure that you put the 'connectRules.il' file in the
    connectLib library. That would in ./rk_connect_lib/rkConnectLib in
    above example.
    Step 4 is only needed if your run AMS Designer from the ADE interface.
    As far as I can remember, your working flow is rather based on the HED
    plugin.
    Anyway, Sheikh is fit enough o make this working. Just give me a shout
    otherwise !
    Also, you might ask Sheikh to get in touch with Cadence to see whether
    they could provide him with something. they should have notes about
    this somewhere.

    Cheers,
    Riad.
     
    Riad KACED, Aug 5, 2009
    #4
  5. Debjit

    Debjit Guest

    Hi Riad,

    I will try and let you know. But the only thing is Sheikh is leaving
    NSUK on 7th August, 2009 i.e. this Friday. We'll miss a real nice guy
    with ready help.

    Cheers,
    Debjit.
     
    Debjit, Aug 6, 2009
    #5
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.