ADE L: how to change component parameters

Discussion in 'Cadence' started by Konx, Aug 10, 2009.

  1. Konx

    Konx Guest

    Hi.

    I'm using ADE L to perform a simulation on a circuit (if you've read
    my previous posts the circuiti is called Pixel :p).

    The problem is with the clock signal: the rising time and the falling
    time that I can see in the generated graph at the end of the
    simulation are set to 3ns and 2ns respectively. Instead, I want to set
    these values to 10ps. (the clock is generated in the TB using a simple
    Always statement in the verilog code).

    To do this I'm following this procedure: open Virtuoso, select Tool --
    (Francesco_1), select two components called MOS_a2d and MOS_d2a and
    change the values to 10ps.

    The problem is, even if I do this procedure, the result doesn't
    change.

    I don't know if these are enough informations to understand the
    problem, because I first don't really have a clear comprehension of
    what is going on when I make this change in the library.

    Thanks in advance!

    Francesco.
     
    Konx, Aug 10, 2009
    #1
  2. Konx

    Konx Guest



    As reference, I post here the solution I've find: when you open the
    schematic using the config file you have to choose Launch-->Mixed
    signal option --> Verimix. Doing this, a new button called "Verimix"
    appears in the menu: so, go to Verimix --> Interface elements -->
    Library, and select the library where you have the component with the
    modified parameters (in my case the default component was in
    analogLib, and modified component was in Francesco_1. doing this
    procedure you tell the simulator that it has to use the modified one).

    I've not really understand in depth the meaning of this procedure, but
    it works so it's ok for me :)

    Francesco.
     
    Konx, Aug 12, 2009
    #2
Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments (here). After that, you can post your question and our members will help you out.